Welcome! Sign in | Join free

Payment and Delivery | Support Center | Sitemap | About Us

Home > News > Manufacturer News > Intel will use MESO to reduce power consumption by 10 times

News

Intel will use MESO to reduce power consumption by 10 times

Sunday,Dec 09,2018

 At present, the existing CMOS semiconductor process is gradually approaching the physical limit, so it is not easy to improve performance and reduce power consumption. In the computing era of the next decade, CMOS technology is likely to be replaced by new technologies.

 
Recently, Intel and UC Berkeley researchers have developed a new MESO (Magnetic Spin-Track) logic device, which can be used to reduce the operating voltage of the chip from 3V to 500mv, a factor of five. The energy consumption is reduced by 10-30 times, and the running speed is also 5 times that of the CMOS process.
 
The technology was developed by Intel and the University of California at Berkeley. The paper has been published in the journal Nature. The MESO used is a multi-iron material consisting of bismuth, iron and oxygen (BiFeO 3 ). Ferromagnetic and ferroelectric, and the two states are coupled, changing one will affect the other, and operating the electric field can change the magnetic field, which is useful for developing MESO equipment.
 
The material was first discovered in 2001 by Ramamoorthy Ramesh, a professor of materials science and engineering and physics at Berkeley. He is also a senior author of the paper, and the MESO device was invented by Sasikanth Manipatruni, director of the Intel MESO project hardware group. The breakthrough is also the result of their cooperation.
 
According to the news released by Berkeley, the switching voltage of the MESO logic device can be reduced from 3V to 500mv, and it can be predicted to be reduced to 100mv, which is 1/5 to 1/10 of the switching voltage required by current CMOS transistors, switching energy from 1 to 0. It is only 1/10 to 1/30 of the CMOS process. In addition to the power consumption advantages, MESO logic devices are also five times faster than CMOS processes.
 
Simply put, the MESO logic devices from Intel and Berkeley have paved the way for replacing the current transistor-based CMOS process, and the new MESO logic devices have significant advantages in performance and power consumption. Of course, this new technology is still under development, and Intel mentioned that their goal is to surpass the CMOS era in the next decade.

Tags:CMOS semiconductor

Comments

Name